xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/WbArbiter.scala (revision 08373300e7dc356da02def6f35eae78b429872a7)
1package xiangshan.backend.datapath
2
3import org.chipsalliance.cde.config.Parameters
4import chisel3._
5import chisel3.util._
6import difftest.{DiffFpWriteback, DiffIntWriteback, DiffVecV0Writeback, DiffVecWriteback, DifftestModule}
7import utility.XSError
8import xiangshan.backend.BackendParams
9import xiangshan.backend.Bundles.{ExuOutput, WriteBackBundle}
10import xiangshan.backend.datapath.DataConfig._
11import xiangshan.backend.regfile.RfWritePortWithConfig
12import xiangshan.{Redirect, XSBundle, XSModule}
13import xiangshan.SrcType.v0
14import xiangshan.backend.fu.vector.Bundles.Vstart
15
16class WbArbiterDispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle {
17  val in = Flipped(DecoupledIO(gen))
18
19  val out = Vec(n, DecoupledIO(gen))
20}
21
22class WbArbiterDispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => (Seq[Bool], Bool))
23                           (implicit p: Parameters)
24  extends Module {
25
26  val io = IO(new WbArbiterDispatcherIO(gen, n))
27
28  private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits)._1)
29
30  XSError(io.in.valid && PopCount(acceptVec) > 1.U, p"[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ")
31
32  io.out.zipWithIndex.foreach { case (out, i) =>
33    out.valid := acceptVec(i) && io.in.valid
34    out.bits := io.in.bits
35  }
36
37  io.in.ready := Cat(io.out.zip(acceptVec).map{ case(out, canAccept) => out.ready && canAccept}).orR || acceptCond(io.in.bits)._2
38}
39
40class WbArbiterIO()(implicit p: Parameters, params: WbArbiterParams) extends XSBundle {
41  val flush = Flipped(ValidIO(new Redirect))
42  val in: MixedVec[DecoupledIO[WriteBackBundle]] = Flipped(params.genInput)
43  val out: MixedVec[ValidIO[WriteBackBundle]] = params.genOutput
44
45  def inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = in.groupBy(_.bits.params.port).map(x => (x._1, x._2.sortBy(_.bits.params.priority).toSeq))
46}
47
48class RealWBCollideChecker(params: WbArbiterParams)(implicit p: Parameters) extends XSModule {
49  val io = IO(new WbArbiterIO()(p, params))
50
51  private val inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = io.inGroup
52
53  private val arbiters: Seq[Option[RealWBArbiter[WriteBackBundle]]] = Seq.tabulate(params.numOut) { x => {
54    if (inGroup.contains(x)) {
55      Some(Module(new RealWBArbiter(new WriteBackBundle(inGroup.values.head.head.bits.params, backendParams), inGroup(x).length)))
56    } else {
57      None
58    }
59  }}
60
61  arbiters.zipWithIndex.foreach { case (arb, i) =>
62    if (arb.nonEmpty) {
63      arb.get.io.in.zip(inGroup(i)).foreach { case (arbIn, wbIn) =>
64        arbIn <> wbIn
65      }
66    }
67  }
68
69  io.out.zip(arbiters).foreach { case (wbOut, arb) =>
70    if (arb.nonEmpty) {
71      val arbOut = arb.get.io.out
72      arbOut.ready := true.B
73      wbOut.valid := arbOut.valid
74      wbOut.bits := arbOut.bits
75    } else {
76      wbOut := 0.U.asTypeOf(wbOut)
77    }
78  }
79
80  def getInOutMap: Map[Int, Int] = {
81    (params.wbCfgs.indices zip params.wbCfgs.map(_.port)).toMap
82  }
83}
84
85class WbDataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
86  val flush = Flipped(ValidIO(new Redirect()))
87
88  val fromTop = new Bundle {
89    val hartId = Input(UInt(8.W))
90  }
91
92  val fromIntExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.intSchdParams.get.genExuOutputDecoupledBundle)
93
94  val fromFpExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.fpSchdParams.get.genExuOutputDecoupledBundle)
95
96  val fromVfExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.vfSchdParams.get.genExuOutputDecoupledBundle)
97
98  val fromMemExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.memSchdParams.get.genExuOutputDecoupledBundle)
99
100  val fromCSR = Input(new Bundle {
101    val vstart = Vstart()
102  })
103
104  val toIntPreg = Flipped(MixedVec(Vec(params.numPregWb(IntData()),
105    new RfWritePortWithConfig(params.intPregParams.dataCfg, params.intPregParams.addrWidth))))
106
107  val toFpPreg = Flipped(MixedVec(Vec(params.numPregWb(FpData()),
108    new RfWritePortWithConfig(params.fpPregParams.dataCfg, params.fpPregParams.addrWidth))))
109
110  val toVfPreg = Flipped(MixedVec(Vec(params.numPregWb(VecData()),
111    new RfWritePortWithConfig(params.vfPregParams.dataCfg, params.vfPregParams.addrWidth))))
112
113  val toV0Preg = Flipped(MixedVec(Vec(params.numPregWb(V0Data()),
114    new RfWritePortWithConfig(params.v0PregParams.dataCfg, params.v0PregParams.addrWidth))))
115
116  val toVlPreg = Flipped(MixedVec(Vec(params.numPregWb(VlData()),
117    new RfWritePortWithConfig(params.vlPregParams.dataCfg, params.vlPregParams.addrWidth))))
118
119  val toCtrlBlock = new Bundle {
120    val writeback: MixedVec[ValidIO[ExuOutput]] = params.genWrite2CtrlBundles
121  }
122}
123
124class WbDataPath(params: BackendParams)(implicit p: Parameters) extends XSModule {
125  val io = IO(new WbDataPathIO()(p, params))
126
127  // split
128  val fromExuPre = collection.mutable.Seq() ++ (io.fromIntExu ++ io.fromFpExu ++ io.fromVfExu ++ io.fromMemExu).flatten
129  val fromExuVld: Seq[DecoupledIO[ExuOutput]] = fromExuPre.filter(_.bits.params.hasVLoadFu).toSeq
130  val vldMgu: Seq[VldMergeUnit] = fromExuVld.map(x => Module(new VldMergeUnit(x.bits.params)))
131  vldMgu.zip(fromExuVld).foreach{ case (mgu, exu) =>
132    mgu.io.flush := io.flush
133    mgu.io.writeback <> exu
134    // Since xs will flush pipe, when vstart is not 0 and execute vector mem inst, the value of vstart in CSR is the
135    // first element of this vector instruction. When exception occurs, the vstart in writeback bundle is the new one,
136    // So this vstart should never be used as the beginning of vector mem operation.
137    mgu.io.writeback.bits.vls.get.vpu.vstart := io.fromCSR.vstart
138  }
139  val wbReplaceVld = fromExuPre
140  val vldIdx: Seq[Int] = vldMgu.map(x => fromExuPre.indexWhere(_.bits.params == x.params))
141  println("vldIdx: " + vldIdx)
142  vldIdx.zip(vldMgu).foreach{ case (id, wb) =>
143    wbReplaceVld.update(id, wb.io.writebackAfterMerge)
144  }
145  val fromExu = Wire(chiselTypeOf(MixedVecInit(wbReplaceVld.toSeq)))
146
147  // io.fromExuPre ------------------------------------------------------------> fromExu
148  //               \                                                         /
149  //                -> vldMgu.io.writeback -> vldMgu.io.writebackAfterMerge /
150  (fromExu zip wbReplaceVld).foreach { case (sink, source) =>
151    sink.valid := source.valid
152    sink.bits := source.bits
153    source.ready := sink.ready
154  }
155
156  // fromExu -> ArbiterInput
157  val intArbiterInputsWire = Wire(chiselTypeOf(fromExu))
158  val intArbiterInputsWireY = intArbiterInputsWire.filter(_.bits.params.writeIntRf)
159  val intArbiterInputsWireN = intArbiterInputsWire.filterNot(_.bits.params.writeIntRf)
160
161  val fpArbiterInputsWire = Wire(chiselTypeOf(fromExu))
162  val fpArbiterInputsWireY = fpArbiterInputsWire.filter(_.bits.params.writeFpRf)
163  val fpArbiterInputsWireN = fpArbiterInputsWire.filterNot(_.bits.params.writeFpRf)
164
165  val vfArbiterInputsWire = Wire(chiselTypeOf(fromExu))
166  val vfArbiterInputsWireY = vfArbiterInputsWire.filter(_.bits.params.writeVfRf)
167  val vfArbiterInputsWireN = vfArbiterInputsWire.filterNot(_.bits.params.writeVfRf)
168
169  val v0ArbiterInputsWire = Wire(chiselTypeOf(fromExu))
170  val v0ArbiterInputsWireY = v0ArbiterInputsWire.filter(_.bits.params.writeV0Rf)
171  val v0ArbiterInputsWireN = v0ArbiterInputsWire.filterNot(_.bits.params.writeV0Rf)
172
173  val vlArbiterInputsWire = Wire(chiselTypeOf(fromExu))
174  val vlArbiterInputsWireY = vlArbiterInputsWire.filter(_.bits.params.writeVlRf)
175  val vlArbiterInputsWireN = vlArbiterInputsWire.filterNot(_.bits.params.writeVlRf)
176
177  def acceptCond(exuOutput: ExuOutput): (Seq[Bool], Bool) = {
178    val intWen = exuOutput.intWen.getOrElse(false.B)
179    val fpwen  = exuOutput.fpWen.getOrElse(false.B)
180    val vecWen = exuOutput.vecWen.getOrElse(false.B)
181    val v0Wen  = exuOutput.v0Wen.getOrElse(false.B)
182    val vlWen  = exuOutput.vlWen.getOrElse(false.B)
183    (Seq(intWen, fpwen, vecWen, v0Wen, vlWen), !intWen && !fpwen && !vecWen && !v0Wen && !vlWen)
184  }
185
186  intArbiterInputsWire.zip(fpArbiterInputsWire).zip(vfArbiterInputsWire).zip(v0ArbiterInputsWire).zip(vlArbiterInputsWire).zip(fromExu).foreach {
187    case (((((intArbiterInput, fpArbiterInput), vfArbiterInput), v0ArbiterInput), vlArbiterInput), exuOut) =>
188      val writeCond = acceptCond(exuOut.bits)
189      val intWrite = Wire(Bool())
190      val fpWrite = Wire(Bool())
191      val vfWrite = Wire(Bool())
192      val v0Write = Wire(Bool())
193      val vlWrite = Wire(Bool())
194      val notWrite = Wire(Bool())
195
196      intWrite := exuOut.valid && writeCond._1(0)
197      fpWrite := exuOut.valid && writeCond._1(1)
198      vfWrite := exuOut.valid && writeCond._1(2)
199      v0Write := exuOut.valid && writeCond._1(3)
200      vlWrite := exuOut.valid && writeCond._1(4)
201      notWrite := writeCond._2
202
203      intArbiterInput.valid := intWrite
204      intArbiterInput.bits := exuOut.bits
205      fpArbiterInput.valid := fpWrite
206      fpArbiterInput.bits := exuOut.bits
207      vfArbiterInput.valid := vfWrite
208      vfArbiterInput.bits := exuOut.bits
209      v0ArbiterInput.valid := v0Write
210      v0ArbiterInput.bits := exuOut.bits
211      vlArbiterInput.valid := vlWrite
212      vlArbiterInput.bits := exuOut.bits
213
214      if (exuOut.bits.params.writeIntRf && exuOut.bits.params.isVfExeUnit) {
215        intWrite := RegNext(exuOut.valid && writeCond._1(0))
216        intArbiterInput.bits := RegEnable(exuOut.bits, exuOut.valid)
217      }
218
219      println(s"[WbDataPath] exu: ${exuOut.bits.params.exuIdx}, uncertain: ${exuOut.bits.params.hasUncertainLatency}, certain: ${exuOut.bits.params.latencyCertain}")
220
221      // only EXUs with uncertain latency need result of arbiter
222      // the result data can be maintained until getting success in arbiter
223      if (exuOut.bits.params.hasUncertainLatency) {
224        exuOut.ready := intArbiterInput.ready && intWrite || fpArbiterInput.ready && fpWrite || vfArbiterInput.ready && vfWrite || v0ArbiterInput.ready && v0Write || vlArbiterInput.ready && vlWrite || notWrite
225      } else {
226        exuOut.ready := true.B
227
228        // for EXUs with certain latency, if the request fails in arbiter, the result data will be permanently lost
229        when (intWrite) {
230          assert(intArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write int regfile\n")
231        }
232        when(fpWrite) {
233          assert(fpArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write fp regfile\n")
234        }
235        when (vfWrite) {
236          assert(vfArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write vf regfile\n")
237        }
238        when (v0Write) {
239          assert(v0ArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write v0 regfile\n")
240        }
241        when (vlWrite) {
242          assert(vlArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write vl regfile\n")
243        }
244      }
245      // the ports not writting back pregs are always ready
246      // the ports set highest priority are always ready
247      if (exuOut.bits.params.hasNoDataWB || exuOut.bits.params.isHighestWBPriority) {
248        exuOut.ready := true.B
249      }
250  }
251  intArbiterInputsWireN.foreach(_.ready := false.B)
252  fpArbiterInputsWireN.foreach(_.ready := false.B)
253  vfArbiterInputsWireN.foreach(_.ready := false.B)
254  v0ArbiterInputsWireN.foreach(_.ready := false.B)
255  vlArbiterInputsWireN.foreach(_.ready := false.B)
256
257  println(s"[WbDataPath] write int preg: " +
258    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeIntRf)}) " +
259    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeIntRf)}) " +
260    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeIntRf)}) " +
261    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeIntRf)})"
262  )
263  println(s"[WbDataPath] write fp preg: " +
264    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeFpRf)}) " +
265    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeFpRf)}) " +
266    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeFpRf)}) " +
267    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeFpRf)})"
268  )
269  println(s"[WbDataPath] write vf preg: " +
270    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVfRf)}) " +
271    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeVfRf)}) " +
272    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVfRf)}) " +
273    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVfRf)})"
274  )
275  println(s"[WbDataPath] write v0 preg: " +
276    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeV0Rf)}) " +
277    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeV0Rf)}) " +
278    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeV0Rf)}) " +
279    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeV0Rf)})"
280  )
281  println(s"[WbDataPath] write vl preg: " +
282    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVlRf)}) " +
283    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeVlRf)}) " +
284    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVlRf)}) " +
285    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVlRf)})"
286  )
287
288  // wb arbiter
289  private val intWbArbiter = Module(new RealWBCollideChecker(params.getIntWbArbiterParams))
290  private val fpWbArbiter = Module(new RealWBCollideChecker(params.getFpWbArbiterParams))
291  private val vfWbArbiter = Module(new RealWBCollideChecker(params.getVfWbArbiterParams))
292  private val v0WbArbiter = Module(new RealWBCollideChecker(params.getV0WbArbiterParams))
293  private val vlWbArbiter = Module(new RealWBCollideChecker(params.getVlWbArbiterParams))
294  println(s"[WbDataPath] int preg write back port num: ${intWbArbiter.io.out.size}, active port: ${intWbArbiter.io.inGroup.keys.toSeq.sorted}")
295  println(s"[WbDataPath] fp preg write back port num: ${fpWbArbiter.io.out.size}, active port: ${fpWbArbiter.io.inGroup.keys.toSeq.sorted}")
296  println(s"[WbDataPath] vf preg write back port num: ${vfWbArbiter.io.out.size}, active port: ${vfWbArbiter.io.inGroup.keys.toSeq.sorted}")
297  println(s"[WbDataPath] v0 preg write back port num: ${v0WbArbiter.io.out.size}, active port: ${v0WbArbiter.io.inGroup.keys.toSeq.sorted}")
298  println(s"[WbDataPath] vl preg write back port num: ${vlWbArbiter.io.out.size}, active port: ${vlWbArbiter.io.inGroup.keys.toSeq.sorted}")
299
300  // module assign
301  intWbArbiter.io.flush <> io.flush
302  require(intWbArbiter.io.in.size == intArbiterInputsWireY.size, s"intWbArbiter input size: ${intWbArbiter.io.in.size}, all int wb size: ${intArbiterInputsWireY.size}")
303  intWbArbiter.io.in.zip(intArbiterInputsWireY).foreach { case (arbiterIn, in) =>
304    arbiterIn.valid := in.valid && in.bits.intWen.get
305    in.ready := arbiterIn.ready
306    arbiterIn.bits.fromExuOutput(in.bits, "int")
307  }
308  private val intWbArbiterOut = intWbArbiter.io.out
309
310  fpWbArbiter.io.flush <> io.flush
311  require(fpWbArbiter.io.in.size == fpArbiterInputsWireY.size, s"fpWbArbiter input size: ${fpWbArbiter.io.in.size}, all fp wb size: ${fpArbiterInputsWireY.size}")
312  fpWbArbiter.io.in.zip(fpArbiterInputsWireY).foreach { case (arbiterIn, in) =>
313    arbiterIn.valid := in.valid && (in.bits.fpWen.getOrElse(false.B))
314    in.ready := arbiterIn.ready
315    arbiterIn.bits.fromExuOutput(in.bits, "fp")
316  }
317  private val fpWbArbiterOut = fpWbArbiter.io.out
318
319  vfWbArbiter.io.flush <> io.flush
320  require(vfWbArbiter.io.in.size == vfArbiterInputsWireY.size, s"vfWbArbiter input size: ${vfWbArbiter.io.in.size}, all vf wb size: ${vfArbiterInputsWireY.size}")
321  vfWbArbiter.io.in.zip(vfArbiterInputsWireY).foreach { case (arbiterIn, in) =>
322    arbiterIn.valid := in.valid && (in.bits.vecWen.getOrElse(false.B))
323    in.ready := arbiterIn.ready
324    arbiterIn.bits.fromExuOutput(in.bits, "vf")
325  }
326  private val vfWbArbiterOut = vfWbArbiter.io.out
327
328  v0WbArbiter.io.flush <> io.flush
329  require(v0WbArbiter.io.in.size == v0ArbiterInputsWireY.size, s"v0WbArbiter input size: ${v0WbArbiter.io.in.size}, all v0 wb size: ${v0ArbiterInputsWireY.size}")
330  v0WbArbiter.io.in.zip(v0ArbiterInputsWireY).foreach { case (arbiterIn, in) =>
331    arbiterIn.valid := in.valid && (in.bits.v0Wen.getOrElse(false.B))
332    in.ready := arbiterIn.ready
333    arbiterIn.bits.fromExuOutput(in.bits, "v0")
334  }
335  private val v0WbArbiterOut = v0WbArbiter.io.out
336
337  vlWbArbiter.io.flush <> io.flush
338  require(vlWbArbiter.io.in.size == vlArbiterInputsWireY.size, s"vlWbArbiter input size: ${vlWbArbiter.io.in.size}, all vl wb size: ${vlArbiterInputsWireY.size}")
339  vlWbArbiter.io.in.zip(vlArbiterInputsWireY).foreach { case (arbiterIn, in) =>
340    arbiterIn.valid := in.valid && (in.bits.vlWen.getOrElse(false.B))
341    in.ready := arbiterIn.ready
342    arbiterIn.bits.fromExuOutput(in.bits, "vl")
343  }
344  private val vlWbArbiterOut = vlWbArbiter.io.out
345
346  // WB -> CtrlBlock
347  private val intExuInputs = io.fromIntExu.flatten.toSeq
348  private val intExuWBs = WireInit(MixedVecInit(intExuInputs))
349  private val fpExuInputs = io.fromFpExu.flatten.toSeq
350  private val fpExuWBs = WireInit(MixedVecInit(fpExuInputs))
351  private val vfExuInputs = io.fromVfExu.flatten.toSeq
352  private val vfExuWBs = WireInit(MixedVecInit(vfExuInputs))
353  private val memExuInputs = io.fromMemExu.flatten.toSeq
354  private val memExuWBs = WireInit(MixedVecInit(memExuInputs))
355
356  // only fired port can write back to ctrl block
357  (intExuWBs zip intExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
358  (fpExuWBs zip fpExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
359  (vfExuWBs zip vfExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
360  (memExuWBs zip memExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
361
362  // io assign
363  private val toIntPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(intWbArbiterOut.map(x => x.bits.asIntRfWriteBundle(x.fire)).toSeq)
364  private val toFpPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(fpWbArbiterOut.map(x => x.bits.asFpRfWriteBundle(x.fire)).toSeq)
365  private val toVfPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vfWbArbiterOut.map(x => x.bits.asVfRfWriteBundle(x.fire)).toSeq)
366  private val toV0Preg: MixedVec[RfWritePortWithConfig] = MixedVecInit(v0WbArbiterOut.map(x => x.bits.asV0RfWriteBundle(x.fire)).toSeq)
367  private val toVlPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vlWbArbiterOut.map(x => x.bits.asVlRfWriteBundle(x.fire)).toSeq)
368
369  private val wb2Ctrl = intExuWBs ++ fpExuWBs ++ vfExuWBs ++ memExuWBs
370
371  io.toIntPreg := toIntPreg
372  io.toFpPreg := toFpPreg
373  io.toVfPreg := toVfPreg
374  io.toV0Preg := toV0Preg
375  io.toVlPreg := toVlPreg
376  io.toCtrlBlock.writeback.zip(wb2Ctrl).foreach { case (sink, source) =>
377    sink.valid := source.valid
378    sink.bits := source.bits
379    source.ready := true.B
380  }
381
382  // debug
383  if(backendParams.debugEn) {
384    dontTouch(intArbiterInputsWire)
385    dontTouch(fpArbiterInputsWire)
386    dontTouch(vfArbiterInputsWire)
387    dontTouch(v0ArbiterInputsWire)
388    dontTouch(vlArbiterInputsWire)
389  }
390
391  // difftest
392  if (env.EnableDifftest || env.AlwaysBasicDiff) {
393    intWbArbiterOut.foreach(out => {
394      val difftest = DifftestModule(new DiffIntWriteback(IntPhyRegs))
395      difftest.coreid := io.fromTop.hartId
396      difftest.valid := out.fire && out.bits.rfWen
397      difftest.address := out.bits.pdest
398      difftest.data := out.bits.data
399    })
400  }
401
402  if (env.EnableDifftest || env.AlwaysBasicDiff) {
403    fpWbArbiterOut.foreach(out => {
404      val difftest = DifftestModule(new DiffFpWriteback(FpPhyRegs))
405      difftest.coreid := io.fromTop.hartId
406      difftest.valid := out.fire // all fp instr will write fp rf
407      difftest.address := out.bits.pdest
408      difftest.data := out.bits.data
409    })
410  }
411
412  if (env.EnableDifftest || env.AlwaysBasicDiff) {
413    vfWbArbiterOut.foreach(out => {
414      val difftest = DifftestModule(new DiffVecWriteback(VfPhyRegs))
415      difftest.coreid := io.fromTop.hartId
416      difftest.valid := out.fire
417      difftest.address := out.bits.pdest
418      difftest.data(0) := out.bits.data(63, 0)
419      difftest.data(1) := out.bits.data(127, 64)
420    })
421  }
422
423  if (env.EnableDifftest || env.AlwaysBasicDiff) {
424    v0WbArbiterOut.foreach(out => {
425      val difftest = DifftestModule(new DiffVecV0Writeback(V0PhyRegs))
426      difftest.coreid := io.fromTop.hartId
427      difftest.valid := out.fire
428      difftest.address := out.bits.pdest
429      difftest.data(0) := out.bits.data(63, 0)
430      difftest.data(1) := out.bits.data(127, 64)
431    })
432  }
433}
434
435
436
437
438