1300ded30SWilliam Wang/*************************************************************************************** 2300ded30SWilliam Wang* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3300ded30SWilliam Wang* Copyright (c) 2020-2021 Peng Cheng Laboratory 4300ded30SWilliam Wang* 5300ded30SWilliam Wang* XiangShan is licensed under Mulan PSL v2. 6300ded30SWilliam Wang* You can use this software according to the terms and conditions of the Mulan PSL v2. 7300ded30SWilliam Wang* You may obtain a copy of Mulan PSL v2 at: 8300ded30SWilliam Wang* http://license.coscl.org.cn/MulanPSL2 9300ded30SWilliam Wang* 10300ded30SWilliam Wang* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11300ded30SWilliam Wang* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12300ded30SWilliam Wang* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13300ded30SWilliam Wang* 14300ded30SWilliam Wang* See the Mulan PSL v2 for more details. 15300ded30SWilliam Wang***************************************************************************************/ 16300ded30SWilliam Wang 17300ded30SWilliam Wangpackage xiangshan.mem 18300ded30SWilliam Wang 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20*45f43e6eSTang Haojinimport chisel3.experimental.requireIsChiselType 21*45f43e6eSTang Haojinimport chisel3.reflect.DataMirror 22300ded30SWilliam Wangimport chisel3._ 23300ded30SWilliam Wangimport chisel3.util._ 24300ded30SWilliam Wangimport xiangshan._ 25300ded30SWilliam Wangimport utils._ 263c02ee8fSwakafaimport utility._ 27300ded30SWilliam Wangimport xiangshan.cache._ 28300ded30SWilliam Wangimport difftest._ 29300ded30SWilliam Wang 3046f74b57SHaojin Tangclass DatamoduleResultBufferIO[T <: Data](gen: T)(implicit p: Parameters) extends XSBundle 31300ded30SWilliam Wang{ 32300ded30SWilliam Wang // val flush = Input(Bool()) 3346f74b57SHaojin Tang val enq = Vec(EnsbufferWidth, Flipped(DecoupledIO(gen))) 3446f74b57SHaojin Tang val deq = Vec(EnsbufferWidth, DecoupledIO(gen)) 35300ded30SWilliam Wang 36300ded30SWilliam Wang} 37300ded30SWilliam Wang 38300ded30SWilliam Wangclass DatamoduleResultBuffer[T <: Data] 39300ded30SWilliam Wang( 40300ded30SWilliam Wang gen: T, 4146f74b57SHaojin Tang)(implicit p: Parameters) extends XSModule { 42300ded30SWilliam Wang 43935edac4STang Haojin val genType = { 44300ded30SWilliam Wang requireIsChiselType(gen) 45300ded30SWilliam Wang gen 46300ded30SWilliam Wang } 47300ded30SWilliam Wang 48300ded30SWilliam Wang val io = IO(new DatamoduleResultBufferIO[T](gen)) 49300ded30SWilliam Wang 5046f74b57SHaojin Tang val data = Reg(Vec(EnsbufferWidth, genType)) 5146f74b57SHaojin Tang val valids = RegInit(VecInit(Seq.fill(EnsbufferWidth)(false.B))) 5246f74b57SHaojin Tang val enq_flag = RegInit(0.U(log2Up(EnsbufferWidth).W)) // head is entry 0 5346f74b57SHaojin Tang val deq_flag = RegInit(0.U(log2Up(EnsbufferWidth).W)) // tail is entry 0 54300ded30SWilliam Wang 5546f74b57SHaojin Tang val entry_allowin = Wire(Vec(EnsbufferWidth, Bool())) 56300ded30SWilliam Wang 5746f74b57SHaojin Tang (0 until EnsbufferWidth).foreach(index => { 5846f74b57SHaojin Tang io.deq(index).valid := valids(deq_flag + index.U) && (if (index == 0) 1.B else io.deq(index - 1).valid) 5946f74b57SHaojin Tang io.deq(index).bits := data(deq_flag + index.U) 6046f74b57SHaojin Tang }) 61300ded30SWilliam Wang 6246f74b57SHaojin Tang (1 until EnsbufferWidth).foreach(i => { 6346f74b57SHaojin Tang assert(!(io.deq(i).valid && !io.deq(i - 1).valid)) 6446f74b57SHaojin Tang assert(!(io.deq(i).ready && !io.deq(i - 1).ready)) 6546f74b57SHaojin Tang }) 6646f74b57SHaojin Tang 6746f74b57SHaojin Tang (0 until EnsbufferWidth).foreach( 6846f74b57SHaojin Tang index => entry_allowin(index) := !valids(index) || (0 until EnsbufferWidth).map(i => io.deq(i).fire && deq_flag + i.U === index.U).reduce(_ || _) 69300ded30SWilliam Wang ) 70300ded30SWilliam Wang 7146f74b57SHaojin Tang (0 until EnsbufferWidth).foreach( 7246f74b57SHaojin Tang index => io.enq(index).ready := entry_allowin(enq_flag + index.U) && (if (index == 0) 1.B else io.enq(index - 1).ready) 73300ded30SWilliam Wang ) 74300ded30SWilliam Wang 7546f74b57SHaojin Tang (1 until EnsbufferWidth).foreach(i => { 7646f74b57SHaojin Tang assert(!(io.enq(i).ready && !io.enq(i - 1).ready)) 7746f74b57SHaojin Tang assert(!(io.enq(i).valid && !io.enq(i - 1).valid)) 7846f74b57SHaojin Tang }) 79300ded30SWilliam Wang 8046f74b57SHaojin Tang (0 until EnsbufferWidth).foreach(index => 8146f74b57SHaojin Tang when(io.deq(index).fire) { 8246f74b57SHaojin Tang valids(deq_flag + index.U) := 0.B 8346f74b57SHaojin Tang if (EnsbufferWidth > 1) deq_flag := deq_flag + index.U + 1.U 84300ded30SWilliam Wang } 8546f74b57SHaojin Tang ) 86300ded30SWilliam Wang 8746f74b57SHaojin Tang (0 until EnsbufferWidth).foreach(index => 8846f74b57SHaojin Tang when(io.enq(index).fire) { 8946f74b57SHaojin Tang valids(enq_flag + index.U) := 1.B 9046f74b57SHaojin Tang data(enq_flag + index.U) := io.enq(index).bits 9146f74b57SHaojin Tang if (EnsbufferWidth > 1) enq_flag := enq_flag + index.U + 1.U 92300ded30SWilliam Wang } 9346f74b57SHaojin Tang ) 94300ded30SWilliam Wang} 95