Home
last modified time | relevance | path

Searched defs:data (Results 1 – 25 of 53) sorted by relevance

123

/XiangShan/src/main/scala/xiangshan/mem/lsqueue/
H A DStoreQueueData.scala53 val data = Reg(Vec(numEntries, UInt(dataWidth.W))) constant
95 val data = UInt((XLEN/8).W) constant
107 val data = new Bundle() { constant
130 val data = Reg(Vec(numEntries, new SQData8Entry)) constant
274 val data = UInt(VLEN.W) constant
284 val data = new Bundle() { constant
/XiangShan/src/main/scala/xiangshan/backend/issue/
H A DDataArray.scala11 val data = Output(gen) constant
17 val data = Input(gen) constant
H A DBypassNetwork.scala29 val data = UInt(dataBits.W) constant
/XiangShan/src/main/scala/xiangshan/backend/regcache/
H A DRegCacheDataModule.scala29 val data = Output(UInt(dataWidth.W)) constant
35 val data = Input(UInt(dataWidth.W)) constant
/XiangShan/src/main/scala/utils/
H A DAXI4Lite.scala33 val data = UInt(dataWidth.W) constant
38 val data = UInt(dataWidth.W) constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/mainpipe/
H A DWritebackQueue.scala49 val data = UInt((cfg.blockBytes * 8).W) constant
53 val data = UInt((cfg.blockBytes * 8).W) constant
99 val data = UInt((cfg.blockBytes * 8).W) constant
164 val data = Reg(UInt((cfg.blockBytes * 8).W)) constant
/XiangShan/src/main/scala/xiangshan/mem/mdp/
H A DWaitTable.scala45 val data = RegInit(VecInit(Seq.fill(WaitTableSize)(0.U(2.W)))) constant
/XiangShan/src/main/scala/xiangshan/backend/
H A DVecExcpDataMergeModule.scala618 val data = Vec(vlen / 8, UInt(8.W)) constant
624 val data = Vec(vlen / 16, UInt(16.W)) constant
630 val data = Vec(vlen / 32, UInt(32.W)) constant
636 val data = Vec(vlen / 64, UInt(64.W)) constant
/XiangShan/src/main/scala/xiangshan/mem/
H A DMaskedDataModule.scala50 val data = Reg(Vec(numEntries, gen)) constant
117 val data = Reg(Vec(numEntries, gen)) constant
/XiangShan/src/main/scala/xiangshan/backend/regfile/
H A DRegfile.scala29 val data = Output(UInt(dataWidth.W)) constant
35 val data = Input(UInt(dataWidth.W)) constant
51 val data = Input(UInt(rfWriteDataCfg.dataWidth.W)) constant
/XiangShan/tools/readmemh/
H A Dgroupby-4byte.c35 } data[4]; in main() local
/XiangShan/src/main/scala/xiangshan/mem/sbuffer/
H A DDatamoduleResultBuffer.scala50 val data = Reg(Vec(EnsbufferWidth, genType)) constant
/XiangShan/src/main/scala/xiangshan/backend/datapath/
H A DNewPipelineConnect.scala43 val data = RegEnable(left.bits, left.fire) constant
/XiangShan/src/main/scala/xiangshan/cache/mmu/
H A DBitmapCheck.scala56 val data = UInt(XLEN.W) constant
92 val data = UInt(XLEN.W) constant
342 val data = UInt(XLEN.W) constant
347 val data = UInt(XLEN.W) // store 64bits in one entry constant
/XiangShan/src/main/scala/xiangshan/backend/fu/fpu/
H A DFPUSubModule.scala40 val data = UInt(64.W) constant
H A DIntToFP.scala52 val data = UInt(XLEN.W) constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/data/
H A DAbstractDataArray.scala40 val data = Vec(blockRows, Bits(rowBits.W)) constant
H A DBankedDataArray.scala73 val data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) constant
95 val data = UInt(encDataBits.W) constant
104 val data = Input(UInt(encDataBits.W)) constant
110 val data = Output(UInt(encDataBits.W)) constant
171 val data = Output(Vec(DCacheWays, UInt(encDataBits.W))) constant
H A DDuplicatedDataArray.scala160 val data = Cat(ecc_resp_chosen(k), data_resp_chosen(k)) constant
/XiangShan/src/main/scala/xiangshan/backend/fu/
H A DFunctionUnit.scala53 val data = UInt(len.W) constant
/XiangShan/src/main/scala/xiangshan/frontend/icache/
H A DInstrUncache.scala41 val data: UInt = UInt(maxInstrLen.W) constant
H A DICacheBundle.scala54 val data: UInt = UInt(blockBits.W) constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/
H A DDCacheWrapper.scala373 val data = UInt(VLEN.W) constant
394 val data = UInt((cfg.blockBytes * 8).W) constant
435 val data = UInt(VLEN.W) constant
481 val data = UInt((cfg.blockBytes * 8).W) constant
496 val data = UInt(l1BusDataWidth.W) constant
528 val data = UInt(XLEN.W) constant
553 val data = UInt(XLEN.W) constant
583 val data = UInt(QuadWordBits.W) constant
672 val data = UInt(l1BusDataWidth.W) constant
/XiangShan/src/main/scala/xiangshan/frontend/
H A DPreDecode.scala101 val data = io.in.bits.data constant
456 val data = if (HasCExtension) Input(Vec(PredictWidth + 1, UInt(16.W))) constant
460 val data = io.data constant
/XiangShan/src/main/scala/xiangshan/backend/rename/
H A DRenameTable.scala38 val data = Output(UInt(PhyRegIdxWidth.W)) constant
44 val data = UInt(PhyRegIdxWidth.W) constant

123